Например, Бобцов

РАЗРАБОТКА ПРОТОКОЛА МНОЖЕСТВЕННОГО ДОСТУПА ДЛЯ ПРОЦЕССОРОВ С МНОГОУРОВНЕВЫМ КЭШИРОВАНИЕМ

Аннотация:

Приведены результаты исследования архитектуры современных процессоров с иерархической организацией внутренней памяти. Выполнен анализ возможности внедрения беспроводной сети для организации взаимодействия между вычислительными ядрами и общим кэшем последнего (третьего) уровня. Для формирования требований к беспроводному каналу связи определяется набор функций обмена сообщениями в современных процессорах общего назначения на примере Intel Core i7 (Haswell). Разработана модель взаимодействия компонентов кэш-подсистемы процессора, на базе которой оцениваются характеристики обмена между ними. Анализ модели показывает, что задержка предлагаемого беспроводного канала при передаче пакета данных в кэш-подсистеме составит 0,26 нс, что хорошо соотносится с задержкой обращения к кэшу самого первого уровня (около 2 нс). Беспроводной канал удовлетворяет требованиям по дальности, предполагая возможность передачи данных на расстояние до 3 см, и энергоэффективности, расходуя мощность 1 мкВт. Результатом работы является протокол множественного доступа, предназначенный для реализации в рамках беспроводной сети на кристалле для сопряжения вычислительных ядер с кэшем третьего уровня. Принимая во внимание требования к простоте и эффективности реализации таких протоколов,  предлагается работать в едином частотном диапазоне для всех радиоинтерфейсов, используя технологию временного разделения канала с фиксированными временными интервалами. В работе предлагается структура блоков данных, посредством которых идет взаимодействие между узлами. Возможность создать общий счетчик времени обеспечивает синхронизацию между узлами. Временное разделение используется для организации дуплексной связи, причем долю времени, отведенную нисходящему и восходящему каналу, можно изменять динамически. Механизм временного разделения позволяет адаптироваться под неравномерность нагрузки между ядрами посредством выделения различного количества временных слотов на каждое ядро.

Ключевые слова:

Статьи в номере