Например, Бобцов

МЕТОДИКА ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ АНАЛОГО-ЦИФРОВЫХ СХЕМ

19

УДК 681.5

С. Г. МОСИН
МЕТОДИКА ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ АНАЛОГО-ЦИФРОВЫХ СХЕМ

Предложена методика тестопригодного проектирования аналого-цифровых схем с использованием параллелизма, поддерживающего одновременное выполнение проектных процедур на современных многоядерных или многопроцессорных вычислительных системах. Предусмотрена процедура выбора методов внешнего и внутрисхемного тестирования.

Ключевые слова: тестопригодное проектирование, внутрисхемное тестирование, аналого-цифровые интегральные схемы, автоматизация проектирования, параллелизм.

Особенность современных маршрутов проектирования интегрированной многопроцессорной системы (ИМС) — ориентация на тестопригодное проектирование (DFT — Design for Testability), в ходе которого наряду с разработкой интегральной схемы формируются решения и определяются сценарии, обеспечивающие в дальнейшем простоту ее тестирования [1—3]. При выборе варианта тестирования — внешнего или внутрисхемного — учитываются особенности метода (см. таблицу).
Сравнительная оценка методов внутрисхемного и внешнего тестирования

Характеристика Быстродействие Дополнительная площадь кристалла Режим работы Используемое оборудование Точность выполняемых измерений Стоимость реализации

Внутрисхемное тестирование Высокое (+)
Требуется (–)
Интерактивный/выделенный (+) Стандартное (универсальное) (+)
Высокая (+)
Высокая (–)

Внешнее тестирование Низкое (–)
Не требуется (+)
Только выделенный (–) Специализированное (–)
Низкая (–)
Низкая (+)

Для корректного выбора метода тестирования важно обеспечить автоматизацию всех процессов, как цифровой, так и аналоговой подсхемы проектируемого электронного устройства. Предлагаемая методика позволяет формализовать процесс выбора тестового решения (см. рисунок). Полученные количественные характеристики и результат стоимостного расчета используют при выборе наиболее эффективных методов тестирования для аналоговой и цифровой подсхем (АПС и ЦПС соответственно). Затем обеспечивается согласование этих

ИЗВ. ВУЗОВ. ПРИБОРОСТРОЕНИЕ. 2012. Т. 55, № 8

20 С. Г. Мосин
методов комплексного тестирования аналого-цифровой схемы. Для АПС в методике предусмотрен выбор между методом внешнего и методами внутрисхемного тестирования на основе введения дополнительных элементов, обеспечивающих автоматическую проверку (OBIST — Oscillation Built-In Self-Test) [4], использования мультиплексоров, повышающих наблюдаемость внутренних узлов схемы (MUX), и методов на основе сигнатурного анализа (SA — Signature Analysis) [2, 5]. Для ЦПС предложены методы внешнего и внутрисхемного тестирования на основе схем встроенного самотестирования (LSFR — Linear Shift Feedback Register, MISR — Multi-Inpit Shift Register и BILBO — Built-In Logic Block Observer), схем мультиплексирования внутренних узлов (MUX) и сканирующих цепей (Scan) [6].
Начало

Для аналоговых подсхем
Внешнее тестирование
Внутрисхемное тестирование
OBIST MUX SA

Выбор контролируемых параметров
Выбор тестовых узлов
Выбор тестовых воздействий
Формирование справочника
неисправностей
Оценка покрываемости неисправностей
Расчет стоимости реализации

Выбор тестового решения

Выбор тестовых узлов
Формирование тестовых наборов
Формирование справочника неисправностей
Оценка покрываемости неисправностей
Расчет стоимости реализации

Для цифровых подсхем
Внешнее тестирование
Внутрисхемное тестирование
LFSR, MISR, BILBO
MUX
Scan

Выбор тестового решения

Согласование тестовых решений для ЦПС и АПС
Конец
При выборе контролируемых параметров (КП), тестовых узлов и тестовых воздействий для внешнего тестирования АПС используется анализ чувствительности. Амплитуда сигнала и его фазовый сдвиг рассматриваются в качестве КП. Для каждого КП формируют матрицу S ⊂ R(m, n), где m — число внутренних компонентов, n — число рассмотренных внутренних узлов. Элементы данной матрицы (Si,j) — коэффициенты чувствительности выходного параметра схемы, контролируемого в узле j, к отклонению параметра (неисправности) компонента i.
ИЗВ. ВУЗОВ. ПРИБОРОСТРОЕНИЕ. 2012. Т. 55, № 8

Методика тестопригодного проектирования аналого-цифровых схем

21

Процесс выбора тестовых узлов сводится к поиску столбцов матрицы S, включающих наибольшее число максимальных значений коэффициентов чувствительности каждой строки (поиск минимального покрытия внутренних узлов максимальными значениями коэффициентов чувствительности всех компонентов).
Повысить вероятность обнаружения неисправностей можно, используя набор входных

тестовых воздействий T ⊂ R(p), при этом формируется совокупность S матриц Sik, j , каждая из

которых получена для определенного сигнала Tk ∈ T, 1≤ k≤ p. Входные сигналы, матрицы Sik, j

для которых вошли в минимальное покрытие, образуют множество тестовых воздействий. В методике выбор тестовых узлов и тестовых воздействий ЦПС реализуется с использо-

ванием разностной функции. Пусть f0 ( x1, x2 ,..., xn ) — выходная функция комбинационной

схемы, а fi ( x1, x2 ,..., xn ) — выходная функция схемы с неисправностью i. Тогда разностная

функция неисправности имеет вид:

Fi (x) ≡ Fi ( x1, x2 ,..., xn ) = f0 ( x1, x2 ,..., xn ) ⊕ f1 ( x1, x2 ,..., xn ) ,

(1)

где Fi (x) — функция, которая на входном наборе x = x1, x2 ,..., xn принимает значение 1, если

значения f0 (x) и fi (x) различны.

Входной тестовый набор х ( Fi (x) = 1) называется тестом неисправности i. В случае присут-

ствия в схеме k неисправностей существуют k разностных функций F1 (x) , F2 (x) , …, Fk (x) .

Тесты, полученные для данных неисправностей, образуют множество:

∪ ( )I =

k

⎧ ⎨

xi

i=1 ⎩

Fi xi

=

1

⎫ ⎬ ⎭

.

(2)

Данное множество входных наборов называют тестовым множеством, или тестовой по-

следовательностью [1].

Выбор тестовых узлов. Пусть F = { f0 , f1,..., fk } является подмножеством всех воз-

можных неисправностей F, включает список тех неисправностей, которые будут диагности-

{ }рованы, и N = n1, n2 ,..., np — подмножество внутренних узлов схемы N, содержит список

всех доступных тестовых узлов. Основной результат работы метода — таблица неисправно-

стей С ⊂ R(k+1, p), строки которой, начиная со второй, соответствуют различным видам не-
исправностей, а столбцы — доступным тестовым узлам. Первая строка таблицы содержит ха-
рактеристики исправной схемы. По результатам моделирования исправной схемы и схемы с
заданным набором неисправностей F происходит формирование двойственных групп и опре-
деление всех неисправностей данных тестовых узлов N. Неисправности fm и fn принадлежат
двойственной группе AGj, связанной с тестовым узлом nj, если Cmj = Cnj (m ≠ n). В итоге для каждого столбца получается конечное множество двойственных групп, которые нумеруются
от 1 до mp, где mp — мощность этого набора для тестового узла p. Следует отметить, что неисправность, выявленная в определенном узле, может входить только в одну двойственную
группу. Для удобства каждая ячейка Cij = с таблицы неисправностей содержит номер группы (AGcj), сформированной для j-го узла и i-й неисправности. Схема является полностью диагно-
стируемой с помощью множества тестовых узлов Nf ⊆ N, если для каждой пары неисправно-
стей fi и fj (i ≠ j) существует такой узел nb (∃nb ∈ Nf), что Cik ≠ Cjk. Решение задачи выбора тестовых узлов является оптимальным, если число множеств Nf будет минимальным. Такое множество может быть сформировано на основе вычисления энтропии с использованием зна-
чения мощности двойственных групп.

ИЗВ. ВУЗОВ. ПРИБОРОСТРОЕНИЕ. 2012. Т. 55, № 8

22 С. Г. Мосин

Пусть Xij (i = 1, 2,…,k) — число элементов группы AGij для тестового узла nj. Вероятность появления неисправности из группы AGij может быть вычислена как отношение AGij / k, где k — число диагностируемых неисправностей. Таким образом, энтропию для любого вы-
бранного тестового узла nj вычисляют с использованием выражения:

∑ ( )E j

=

⎡ −⎢
⎢⎣

X1 j k

log

⎛ ⎜



X1 j k

⎞ ⎟+ ⎠

X2 k

j

log

⎛ ⎜



X2 k

j

⎞ ⎟

+

...

+



X kj k

log

⎛ ⎜



X kj k

⎞⎤ ⎟⎥ ⎠⎥⎦

=

log (k )



1 k

k i=1

X ij

log

X ij

.

(3)

Количество информации, получаемой в тестовом узле nj, становится максимальным при минимизации коэффициента энтропии:

k
( )∑ERj = Xij log Xij . i=1

(4)

Тестовый узел nj, значение ER(j) в котором минимально, обеспечивает получение максимальной информации об измеряемой величине. Выбранные таким образом узлы образуют

результирующее множество тестовых узлов. Алгоритм выбора тестовых узлов можно форма-

лизовать следующей последовательностью действий [2].

1. Вычислить число элементов в каждой двойственной группе для каждого тестового

узла nj. 2. Рассчитать коэффициент энтропии ERj. 3. Добавить узлы с минимальным значением ERj во множество выбранных ранее тесто-
вых узлов.

4. Переформировать таблицу неисправностей в соответствии с порядком двойственных

групп выбранного тестового узла, а также удалить из нее те строки, неисправности которых

не входят ни в одну двойственную группу для данного узла.

5. Рассчитать коэффициент ERj для оставшихся узлов с учетом присутствия двойственных групп в каждом из получившихся разделов таблицы неисправностей.

6. Если ERj =0 (для всех j) или ERj принимает то же значение, что и ранее (для всех j), процесс прекращается. В противном случае необходимо повторить пункты 3, 4.

Формирование справочника неисправностей. Справочник неисправностей (СН) —

совокупность измерений характеристик исправной и потенциально неисправной схемы, по-

лученных в результате моделирования работы устройства в нормальном режиме с учетом

присутствия в ней неисправностей. Измерение контролируемых параметров выполняется во

всех тестовых узлах при различных входных тестовых воздействиях. Процесс построения СН

можно разделить следующим образом: формирование списка неисправностей; получение вы-

ходных откликов на входные воздействия при моделировании неисправности компонента

схемы; формирование справочника неисправностей, обеспечивающее достижение компро-

мисса между размерностью и количеством неисправностей.

Выбор метода тестирования (внешний или внутрисхемный) АПС и ЦПС смешанных

ИМС производится с учетом расчета стоимости тестирования. Учитывается следующий на-

бор параметров проектируемого устройства: используемая интегральная технология, объем

партии изделий, сложность ИМС, соотношение площади аналоговой и цифровой подсхем,

стоимость используемых САПР и ATPG и др.

В общем случае стоимость тестирования электронных схем составляет

C = Cprep + Cmanuf + Cexec ,

(5)

где Cprep — стоимость подготовки теста, Cmanuf — стоимость производства тестирующей подсхемы, Cexec — стоимость выполнения теста.

ИЗВ. ВУЗОВ. ПРИБОРОСТРОЕНИЕ. 2012. Т. 55, № 8

Методика тестопригодного проектирования аналого-цифровых схем

23

Простейший способ выбора менее затратного метода тестирования основан на оценке и

сравнении значения C для каждого решения. Выполнение неравенства Con > Coff ( Con и

Coff — стоимость внутрисхемного и внешнего тестирования соответственно) — условие эко-
номической эффективности использования внешнего тестирования, а Con < Coff — внутрисхемного [7, 8].
Заключение. Реализация предложенной методики в САПР интегральных схем позволяет автоматизировать процесс принятия решения в ходе тестопригодного проектирования. Ориентация алгоритмов на параллельную обработку и современные многоядерные и многопроцессорные варианты архитектуры вычислительных систем обеспечивает снижение временных затрат на проектирование и расширение числа возможных структурных решений внутрисхемного тестирования аналого-цифровых схем, рассматриваемых в ходе разработки.

Работа выполнена в рамках проекта № 7.4151.2011 Министерства образования и науки РФ.

СПИСОК ЛИТЕРАТУРЫ
1. Киносита К., Асада К., Карацу О. Логическое проектирование СБИС. М.: Мир, 1988.
2. Ланцов В. Н., Мосин С. Г. Современные подходы к проектированию и тестированию интегральных микросхем. Владимир: Изд-во ВлГУ, 2010.
3. Мосин С. Г. Исследование модели выбора оптимальной тестовой стратегии для смешанных интегральных схем // Вестн. компьютерных и информационных технологий. 2011. № 6. С. 24—28.
4. Mosin S. G. A Built-in Self-Test Circuitry Based on Reconfiguration for Analog and Mixed-Signal IC // Information Technology and Control. 2011. Vol. 40, N 3. P. 260—264.
5. Mosin S. Neural Network-Based Technique for Detecting Catastrophic and Parametric Faults in Analog Circuits // Proc. IEEE 18th Intern. Conf. on System Engineering (ICSEng’2005). Las Vegas, Nevada, USA, 2005. P. 224—229.
6. Mosin S. G., Chebykina N. V., Serina M. S. Technique of LFSR Based Test Generator Synthesis for Deterministic and Pseudorandom Testing // Proc. 11th Conf. “Experience of Designing and Application of CAD System in Microelectronics – CADSM’11”. Polyana-Svalyava, Ukraine, 2011. P. 128—131.
7. Мосин С. Г. Выбор метода тестирования смешанных интегральных схем на основе экономической модели // Вестн. Костромского гос. ун-та им. Н. А. Некрасова. 2008. Т. 14, № 2. С. 29—32.
8. Mosin S. G. Selecting the Most Efficient DFT Techniques of Mixed-Signal Circuits Based on Economics Modeling // Proc. of IEEE East-West Design and Test Symp. (EWDTS’2007). Yerevan, Armenia, 2007. P. 158—161.
Сведения об авторе Сергей Геннадьевич Мосин — канд. техн. наук, доцент; Владимирский государственный университет
им. А. Г. и Н. Г. Столетовых, кафедра вычислительной техники; E-mail: smosin@vlsu.ru

Рекомендована ВлГУ

Поступила в редакцию 17.04.12 г.

ИЗВ. ВУЗОВ. ПРИБОРОСТРОЕНИЕ. 2012. Т. 55, № 8